pub fn generate_modules(
    rng: &mut StdRng,
    number: usize,
    options: ModuleGeneratorOptions
) -> (CompiledModule, Vec<CompiledModule>)
Expand description

Generate a number - 1 modules. Then generate a root module that imports all of these modules.